library ieee; use ieee.std_logic_1164.all; entity up_counter_sync_preload_tst is end up_counter_sync_preload_tst; architecture beh of up_counter_sync_preload_tst is component up_counter_sync_preload port(clk, rst_a,load : in std_logic; ip: in std_logic_vector(3 downto 0); q : out std_logic_vector(3 downto 0)); end component; signal clk_s,rst_a_s,load_s : std_logic; signal ip_s,q_s : std_logic_vector(3 downto 0); begin -- beh u1 : up_counter_sync_preload port map ( clk => clk_s, rst_a => rst_a_s, load => load_s, ip => ip_s, q => q_s); clockk: process begin -- process clockk clk_s <= '1'; wait for 55 ns; clk_s <= '0'; wait for 55 ns; end process clockk; tst: process begin -- process tst rst_a_s <= '1'; wait for 100 ns; rst_a_s <= '0'; load_s <= '0'; ip_s <= "1100"; wait for 100 ns; rst_a_s <= '0'; load_s <= '0'; ip_s <= "1100"; wait for 100 ns; rst_a_s <= '0'; load_s <= '0'; ip_s <= "1100"; wait for 100 ns; rst_a_s <= '0'; load_s <= '0'; ip_s <= "1100"; wait for 100 ns; rst_a_s <= '0'; load_s <= '1'; ip_s <= "1101"; wait for 100 ns; rst_a_s <= '0'; load_s <= '0'; ip_s <= "1100"; wait for 100 ns; end process tst; end beh;
Search This Blog
Monday, 28 October 2013
Test Bench for 4-bit Up-Down Counter with Pre-Load in VHDL
Subscribe to:
Post Comments (Atom)
No comments:
Post a Comment