Search This Blog

Thursday 31 October 2013

Verilog Code for 1-bit Adder


module full_adder(sum,cout,a,b,cin);
   output sum;
   output cout;
   input  a,b,cin;
 
   assign{cout,sum}=a+b+cin;
endmodule

No comments:

Post a Comment