Search This Blog

Wednesday 4 December 2013

Interchange data without using third variable in Verilog

We can interchange value with the help of non-blocking statements.

always @ (a or b)
begin
a = 1;
b = 2;
#10;

a <= b;
b <= a;
end

No comments:

Post a Comment